Real Intent to host third annual Design Sign-Off Seminar in Israel

New product focusing on comprehensive reset analysis to be announced at seminar

SUNNYVALE, CA — October 23, 2018

During the last year, many customers adopted Verix CDC, the first-to-market multimode CDC solution and Meridian RDC, the fastest and most precise reset domain crossing sign-off tool in the market.

At DAC 2018, Real Intent unveiled two new additions to the Verix family of products, the PCDC product for gate-level CDC sign-off, and SimFix for eliminating X-pessimism.

On Monday, October 29, 2018, Real Intent will present the third annual Design Sign-off Seminar in Israel at the Dan Accadia Hotel in Herzliya. This seminar will cover the best practices for reduction of metastability faults in clock and reset domain crossings at RTL and Gate-level, as well as a new product announcement.

– What:

Real Intent will host an in-depth technical conference in Israel. Real Intent will also be announcing a new product focusing on comprehensive reset analysis.
Speakers throughout the day include Prakash Narain, President and CEO of Real Intent, as well as Oren Katzir, the company’s Vice President of Application Engineering and Business Development.

– When/Where:

October 29, 2018
Dan Accadia Hotel, Herzliya, Israel

– Agenda:

9:15-9:45: Check-in & light breakfast
9:45-10:00: Introduction of Real Intent and speakers
10:00-10:30: Static Tools are on the rise – why?
10:30-11:50: Design and Verification practices for mitigation of Metastability and Glitch faults in Reset and Clock Domain Crossing circuits
12:00-12:20: Customer Track
12:20-13:00: Real Intent New Product Announcement
13:00-14:00: Lunch & Networking
14:00-14:20: Customer Track
14:20-15:40: Getting complete confidence in your tapeout with Glitch-free and CDC-clean Netlist sign-off
15:40-16:00: Closing remarks & Prize draw

– Registration

For more information on the seminar, or to register, visit here.

About Real Intent

Real Intent is the industry leader in static sign-off of digital designs. Top-tier companies worldwide rely on Real Intent’s EDA software to accelerate early functional verification and sign-off at RTL as well as gate-level. Its intent-driven static technology powers solutions for clock and reset domain crossing analysis (CDC, RDC), Sign-Off quality clean RTL code, and X-pessimism correction, to ensure design success for SoCs and FPGAs. Real Intent products lead the market in performance, capacity and accuracy, enabling a measurably faster time to tape out. Please visit www.realintent.com for more information.