Request a Real Intent Demo

Products(Required)

Ascent Lint

Multi-policy linting. Fastest, low-noise RTL linting sign-off

Ascent AutoFormal

Automatic formal linting using deep-sequential formal analysis

Meridian CDC

Fast, high capacity, precise single- and multi-mode clock domain crossing sign-off

Meridian RDC

Fast, precise, multi-scenario reset domain crossing sign-off

SafeConnect

Connectivity & glitch sign-off at RTL & netlist for IP blocks or across SoCs.

Meridian DFT

High capacity, multi-test mode DFT static sign-off tool with root cause analysis

Meridian RXV

Design initialization sign-off with initialization analysis, reset optimization, & testbench-independent X-optimism correction