Real Intent Ranks #3 in “Best of 2019” Electronic Design Automation Tools

SUNNYVALE, Calif., February 20, 2020 — Real Intent, Inc., today announced that semiconductor users ranked Real Intent’s static sign-off tools #3 in the Electronic Design Automation (EDA) Tool Report by DeepChip.com, where hundreds of users review their top EDA products. This is the second year in a row that Real Intent has ranked in the top 3.

Real Intent was ranked #3 for its tools for its: 1) Static sign-off tools for multimode & single-mode clock domain crossing, reset domain crossing, structural linting, and 2) Formal linting tool.

Below are hyperlinks to the four report sections on Real Intent, along with some user feedback highlights.

1. Single- & multimode clock domain crossing sign-off

Users commented on Verix CDC multimode clock domain crossing sign off, which allows all possible clocking scenarios to be covered in a single run, as well as Meridian CDC for single mode CDC sign-off.

Verix Multimode CDC only requires one setup for your entire multimode CDC analysis… and analyzes all modes simultaneously so that all the possible scenarios are covered in a single run vs. running the modes serially ‘under-the-hood’. Creates one debug report without error duplication.”

Meridian CDC is our clock domain crossing sign-off tool for tape out. It’s easy to set up and its performance is amazing. We had a ~45M gate design complete in only 6 hours. …Meridian CDC can capture certain checks that other tools do not.”

2. Formal Linting

Ascent AutoFormal formal linting tool delivered its users a capacity and performance increase of >10X last year. It also performs hierarchical root-cause analysis.

Ascent AutoFormal (formal linting tool) reported only 1 primary error and 9 secondary warnings. The other commercial automatic formal tool…had 10X more violations for us to review. AutoFormal helped reduce our logic simulation time by ~30 percent.”

3. Reset Domain Crossing Sign-off

Designers also discussed Meridian RDC’s extremely high-precision reset domain crossing sign-off, which identifies metastability issues across reset domains.

Meridian RDC caught all errors and reported only 4% of the # of violations compared with the other commercial RDC tool. Meridian RDC was also typically 4X faster and had only 25% of the RAM usage.”

4. RTL Linting

Users discussed Ascent Lint’s RTL and netlist linting using static sign-off methods, with easy set up and efficient reporting.

“We use Ascent Lint for our linting because during our eval, it had a lower noise level than the other commercial tool we compared it with. Plus, Real Intent’s support was better.”

About DeepChip.com

DeepChip was founded in 1991 by John Cooley (originally called ESNUG); it has 54,000 chip designer subscribers. The DeepChip.com Best of EDA Tools is an annual survey where hundreds of users review their top EDA products.

About Real Intent

Real Intent provides intent-driven static signoff EDA software tools to accelerate early functional verification and advanced sign-off of digital designs. Its product capabilities include: clock domain crossing sign off from RTL through gate level, including multimode CDC; reset domain crossing sign off; and advanced RTL linting and analysis. Real Intent products lead the market in precision, performance, and capacity. Real Intent customers include more than 50 major semiconductor and systems companies. Real Intent is headquartered at 932 Hamlin Court, Sunnyvale, CA. For more information visit us at www.realintent.com.