Designers Rank Real Intent #3 in “Best of 2020” Electronic Design Automation Tools

SUNNYVALE, Calif., May 25, 2021 — Real Intent, Inc., today announced that for the third consecutive year, semiconductor designers ranked Real Intent’s static sign-off tools #3 in the annual Electronic Design Automation (EDA) Tool Report by DeepChip.com, where hundreds of users review their top EDA products.

Real Intent was recognized for its static sign-off tools for reset domain crossing, multimode & single-mode clock domain crossing, and linting sign-off.

Below are hyperlinks to the four report sections on Real Intent, along with selected user feedback excerpts.

1. Reset Domain Crossing Sign-Off

Designers discussed Meridian RDC’s extremely fast, low-noise reset domain crossing sign-off, which identifies metastability issues, glitches, and functional correlation loss across reset domains.

Meridian RDC‘s reports are much simpler to go through. 9x fewer false violations [than a competitive tool] makes for a more efficient debug process for us. …The Meridian RDC runtime was (also) 3x to 4x faster.”

2. Single- & Multimode Clock Domain Crossing Sign-Off

Multiple engineers commented on Verix Multimode CDC clock domain crossing sign-off, which can cover all possible clocking scenarios a single run, as well as Meridian CDC’s continuing strength in single mode CDC sign-off.

“Instead of having to run CDC checks on each different clock mode one-by-one in serial, Verix Multimode CDC needs only one set-up, and then it needs only one run where it examines all the different clock modes to make sure there are no issues with any of them. ….We save a ton of debug time because all our CDC violations are de-duped across all clock modes, and are in just one place.”

“We’ve been using Meridian CDC for a few years. However, we also did an evaluation again last year. [Another vendor] came back to us and said they’d improved [their tool], so it was a much better tool now. So, we came up with the metrics important to us… and again Meridian CDC beat it in most categories. So, we stayed with Meridian CDC.”

3. RTL Linting Sign-off

Engineers commented on Real Intent Ascent Lint’s fast runtime enabling it to be a part of the pre-submit process and that Ascent Lint looks beyond the local RTL context to infer the design intent; it then checks based on the broader context.

“We chose Ascent Lint a few years ago over other linting tools for a few key reasons. Ascent Lint was the fastest tool we tested it on. That was important because we require that our designers run and pass the linting checks before they are permitted to submit their code to our database.”

“What we really want to use it for is to identify where the designer’s intent deviates from the code. Ascent Lint is able to look at a particular structure and say, “I see what you’re doing, but this is what’s actually going to happen. Is that really what you want?” It could be something as subtle as a width mismatch, such as trying to assign a 64-bit quantity only 62 bits and discovering that two bits are missing. Or inferred latches.”

About DeepChip.com

DeepChip was founded in 1991 by John Cooley (originally called ESNUG); it has 62,000 chip designer subscribers. The DeepChip.com Best of EDA Tools is an annual survey where hundreds of users review their top EDA products.

About Real Intent

Real Intent provides intent-driven static sign-off EDA software tools to accelerate early functional verification and advanced sign-off of digital designs. Its product capabilities include clock domain crossing sign-off from RTL through gate level — including multimode CDC; multimode/multi-scenario reset domain crossing sign-off; multimode DFT; and both RTL linting and formal linting. Real Intent products lead the market in precision, performance, and capacity. Real Intent customers include more than 50 major semiconductor and systems companies. Real Intent is headquartered at 932 Hamlin Court, Sunnyvale, CA. For more information visit us at www.realintent.com.